Jingwen's Homepage

Energy Efficiency Benefits of Reducing the Voltage Guardband on the Kepler GPU Architecture

Jingwen Leng, Yazhou Zu, Vijay Janapa Reddi

In Proc. of 10th IEEE Workshop on Silicon Errors in Logic - System Effects, June 2014.

ABSTRACT

Energy efficiency of GPU architectures has emerged as an important design criterion for both NVIDIA and AMD. In this paper, we explore the benefits of scaling a general-purpose GPU (GPGPU) core’s supply voltage to the near limits of execution failure. We find that as much as 21% of NVIDIA GTX 680’s core supply voltage guardband can be eliminated to achieve significant energy efficiency improvement. Measured results indicate that the energy improvements can be as high as 25% without any performance loss. The challenge, however, is to understand what impacts the minimum voltage guardband and how the guardband can be scaled without compromising correctness. We show that GPU microarchitectural activity pat- terns caused by different program characteristics are the root cause(s) of the large voltage guardband. We also demonstrate how microarchitecture-level parameters, such as clock frequency and the number of cores, impact the guardband. We hope our preliminary analysis lays the groundwork for future research.

keplervnoise.pdf_p0001